• en

ocamlyices

Yices SMT solver binding

Yices is a Satisfiability Modulo Theories (SMT) solver from SRI. This binding requires Yices version 1.0.34 or more recent, but not 2.

Download the latest tarball from Yices' official website: http://yices.csl.sri.com/download.shtml Install it in /usr/local and register the DLL quickly: wget -q -O- http://git.io/sWxMmg | sh -s <yices-XYZ.tar.gz> where <yices-XYZ.tar.gz> should be replaced with the path to the downloaded tarball. Optionally you can set an installation directory: wget -q -O- http://git.io/sWxMmg | sh -s <yices-XYZ.tar.gz> /opt /opt/lib64

Author Mickaël Delahaye
License ISC license
Maintainer mickael.delahaye@gmail.com

Events

Nov 28, 2013

Published version 0.7.1

Nov 7, 2013

Published version 0.7.0